Мозаичный форум  

Вернуться   Мозаичный форум > О мире > Взгляды на окружающий мир и своё место в нём > Профанации и мошенничество > Опять 385!
Галерея Справка Пользователи Календарь Сообщения за день

Опять 385! Несуразности по версии tvv385

Ответ
 
Опции темы
Старый 09.07.2011, 16:57   #451
Ordinator
Солдат удачи
 
Аватар для Ordinator
 
Регистрация: 28.05.2011
Адрес: Пограничье
Сообщений: 6,096
Ordinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мираOrdinator мозаика мира
Теплоухов - жертва боброудава.
Ordinator вне форума   Ответить с цитированием
Старый 09.07.2011, 17:42   #452
tvv385
Старожил
 
Аватар для tvv385
 
Регистрация: 24.01.2008
Сообщений: 2,854
tvv385 обретший свою ауру цветаtvv385 обретший свою ауру цветаtvv385 обретший свою ауру цвета
Цитата:
Сообщение от Хищник Посмотреть сообщение
Произошло следующее: языки Ada и VHDL имеют очень схожий синтаксис. Однако Ada - язык программирования, а VHDL - язык описания аппаратуры. Он не предназначен для описания порядка действий проектируемого устройства, он задает, скажем так, порядок установки компонентов на кристалл.
именно так - потому и надо отрывать яйца за использование языка не по назначению, не смотря на то что в принципе там встроены и другие средства

Цитата:
Сообщение от Хищник Посмотреть сообщение
Приведенный мной пример синтаксически корректен, но к формированию какой-либо схемы он не приведет. В свое время меня немало удивил присланный фрагмент, в итоге с человеком пришлось проводить длительную работу по разъяснению самого принципа использования VHDL.
и тебе явно это не пошло на пользу, раз продолжаешь свое

Vladimir
__________________
ничто так не останавливает буйный полет мысли, как pragma Ada83; ;)
tvv385 вне форума   Ответить с цитированием
Старый 09.07.2011, 17:56   #453
tvv385
Старожил
 
Аватар для tvv385
 
Регистрация: 24.01.2008
Сообщений: 2,854
tvv385 обретший свою ауру цветаtvv385 обретший свою ауру цветаtvv385 обретший свою ауру цвета
Цитата:
Сообщение от Тома Посмотреть сообщение
первый раз сей язык вижу.

Вопрос- разве i равно j?
Обнуляются ли автоматически объявляемые переменные?
вау, какие оказывается смысловые галлюцинации бывают у кур с физтеховскими дипломами!


А ты ценная находка - пожалуй я тебя возьму как объект для исследований - таких кур еще никто не исследовал, наверно нобелевку получу, по биологии...


Ну и да, кстати, а почему тебя заинтересовали какие-то там инициализации переменных, когда любому дураку сразу ясно что скорость подобной безграмотной процедуры будет зависеть от тактовой частоты процессора и тп?..
(записываем - слонов курочки не замечают )

Цитата:
Сообщение от Тома Посмотреть сообщение
ЗЫ
Ну вот облажалась. Но мне простительно.....
да еще как - и ты даже не знаешь где...

Vladimir
PS Славику на заметку - в стандарте Ады из ядра компилятора выкинули практически все что только можно, но оператор delay по стандарту реализуется средствами самого языка даже без подключения минимальных стандартных библиотек...
(И наверно это не спроста )
А в жабе?
__________________
ничто так не останавливает буйный полет мысли, как pragma Ada83; ;)
tvv385 вне форума   Ответить с цитированием
Старый 09.07.2011, 18:16   #454
Хищник
Местный
 
Аватар для Хищник
 
Регистрация: 25.06.2011
Сообщений: 181
Хищник обретает свой цвет
Цитата:
Сообщение от tvv385 Посмотреть сообщение
именно так - потому и надо отрывать яйца за использование языка не по назначению, не смотря на то что в принципе там встроены и другие средства

Vladimir
Ты хотя бы понял, что в твоем ответе ДВА языка? А VHDL был использован ровным счетом по назначению, просто в твой старательно ограничиваемый круг сведений это не влезло.
Хищник вне форума   Ответить с цитированием
Старый 09.07.2011, 18:23   #455
Хищник
Местный
 
Аватар для Хищник
 
Регистрация: 25.06.2011
Сообщений: 181
Хищник обретает свой цвет
Цитата:
Сообщение от tvv385 Посмотреть сообщение
вау, какие оказывается смысловые галлюцинации бывают у кур с физтеховскими дипломами!
Ты будешь смеяться брызгать слюной, но в записи

for i in 0 to N переменная i оказывается автоматически объявленной.

Цитата:
Сообщение от tvv385 Посмотреть сообщение
Ну и да, кстати, а почему тебя заинтересовали какие-то там инициализации переменных, когда любому дураку сразу ясно что скорость подобной безграмотной процедуры будет зависеть от тактовой частоты процессора и тп?..
(записываем - слонов курочки не замечают )
Вот, видимо, дураку и ясно. Охренеть, такой бред - редкость!

Поясняю еще раз. Безграмотность не в том, что там какие-то ошибки в записи или что-то пропущено. Безграмотность в том, что такая описательная конструкция VHDL не предназначена для формирования схемы на кристалле. Никакой процедуры для создания схем задержки типа delay в VHDL быть не может, попытка создать ее - признак принципиального непонимания языков описания аппаратуры. Попытки Теплоухова перескочить на Ada - заметание следов после эпического фейла.
Хищник вне форума   Ответить с цитированием
Старый 09.07.2011, 18:31   #456
Тома
Расширяю чужие заблуждени
 
Аватар для Тома
 
Регистрация: 14.03.2006
Сообщений: 8,433
Тома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мира
Ага. точно такая же попытка объявить инициализацию переменных зависящей от тактовой частоты полный фэйл безграмотна.
Это даже я , курица, понимаю.

эта курица в свое время написала программу вычисления числа фибоначи на МК-52 в 12 команд. Всесоюзный рекорд.

Слабо повторить?
Тома вне форума   Ответить с цитированием
Старый 09.07.2011, 18:31   #457
Хищник
Местный
 
Аватар для Хищник
 
Регистрация: 25.06.2011
Сообщений: 181
Хищник обретает свой цвет
Пгодолжаем!

Имеем такой фрагмент VHDL (не Ada).

signal a, b : std_logic_vector(7 downto 0);

...

process(clk)
begin
if rising_edge(clk) then
a <= b;
b <= a;
end if;
end process;

Согласно утверждению автора, по фронту тактового сигнала произойдет обмен значениями для регистров a и b. Нужно ли что-то исправлять?
Хищник вне форума   Ответить с цитированием
Старый 09.07.2011, 20:14   #458
Afa
Шволочь. И провокатор.
 
Аватар для Afa
 
Регистрация: 12.02.2006
Сообщений: 31,295
Afa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мира
Цитата:
Сообщение от Хищник Посмотреть сообщение
Поясняю еще раз. Безграмотность не в том, что там какие-то ошибки в записи или что-то пропущено. Безграмотность в том, что такая описательная конструкция VHDL не предназначена для формирования схемы на кристалле. Никакой процедуры для создания схем задержки типа delay в VHDL быть не может, попытка создать ее - признак принципиального непонимания языков описания аппаратуры. Попытки Теплоухова перескочить на Ada - заметание следов после эпического фейла.
та забей. ну не знает он декларативных языков. бывает. можно развлекаться, заставляя переписывать на аде, ага.
заодно еще перлов насмотришься. для обучения пользительных. "как делать нельзя"
__________________
... Survivors will be shot again.
Afa вне форума   Ответить с цитированием
Старый 09.07.2011, 20:16   #459
Afa
Шволочь. И провокатор.
 
Аватар для Afa
 
Регистрация: 12.02.2006
Сообщений: 31,295
Afa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мираAfa мозаика мира
Цитата:
Сообщение от Тома Посмотреть сообщение
Ага. точно такая же попытка объявить инициализацию переменных зависящей от тактовой частоты полный фэйл безграмотна.
Это даже я , курица, понимаю.

эта курица в свое время написала программу вычисления числа фибоначи на МК-52 в 12 команд. Всесоюзный рекорд.

Слабо повторить?
а код? дай посмотреть.
__________________
... Survivors will be shot again.
Afa вне форума   Ответить с цитированием
Старый 09.07.2011, 20:24   #460
Тома
Расширяю чужие заблуждени
 
Аватар для Тома
 
Регистрация: 14.03.2006
Сообщений: 8,433
Тома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мираТома мозаика мира
Цитата:
а код? дай посмотреть.
Необузданные желания.
См Науку и жизнь за 87 год., вроде.....
Там после основного конкурса была прислана программка.
Не моя. Моя короче на 1 команду. отсутствует первая команда логарифм убирающая ноль.
Извиняй, вспоминать лень.
Тома вне форума   Ответить с цитированием
Ответ


Ваши права в разделе
Вы не можете создавать новые темы
Вы можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.

Быстрый переход


Часовой пояс GMT +4, время: 11:08.