09.07.2011, 16:57 | #451 |
Солдат удачи
Регистрация: 28.05.2011
Адрес: Пограничье
Сообщений: 6,096
|
Теплоухов - жертва боброудава.
|
09.07.2011, 17:42 | #452 | ||
Старожил
Регистрация: 24.01.2008
Сообщений: 2,854
|
Цитата:
Цитата:
Vladimir
__________________
ничто так не останавливает буйный полет мысли, как pragma Ada83; ;) |
||
09.07.2011, 17:56 | #453 | |
Старожил
Регистрация: 24.01.2008
Сообщений: 2,854
|
Цитата:
А ты ценная находка - пожалуй я тебя возьму как объект для исследований - таких кур еще никто не исследовал, наверно нобелевку получу, по биологии... Ну и да, кстати, а почему тебя заинтересовали какие-то там инициализации переменных, когда любому дураку сразу ясно что скорость подобной безграмотной процедуры будет зависеть от тактовой частоты процессора и тп?.. (записываем - слонов курочки не замечают ) да еще как - и ты даже не знаешь где... Vladimir PS Славику на заметку - в стандарте Ады из ядра компилятора выкинули практически все что только можно, но оператор delay по стандарту реализуется средствами самого языка даже без подключения минимальных стандартных библиотек... (И наверно это не спроста ) А в жабе?
__________________
ничто так не останавливает буйный полет мысли, как pragma Ada83; ;) |
|
09.07.2011, 18:16 | #454 |
Местный
Регистрация: 25.06.2011
Сообщений: 181
|
Ты хотя бы понял, что в твоем ответе ДВА языка? А VHDL был использован ровным счетом по назначению, просто в твой старательно ограничиваемый круг сведений это не влезло.
|
09.07.2011, 18:23 | #455 | ||
Местный
Регистрация: 25.06.2011
Сообщений: 181
|
Цитата:
for i in 0 to N переменная i оказывается автоматически объявленной. Цитата:
Поясняю еще раз. Безграмотность не в том, что там какие-то ошибки в записи или что-то пропущено. Безграмотность в том, что такая описательная конструкция VHDL не предназначена для формирования схемы на кристалле. Никакой процедуры для создания схем задержки типа delay в VHDL быть не может, попытка создать ее - признак принципиального непонимания языков описания аппаратуры. Попытки Теплоухова перескочить на Ada - заметание следов после эпического фейла. |
||
09.07.2011, 18:31 | #456 |
Расширяю чужие заблуждени
Регистрация: 14.03.2006
Сообщений: 8,433
|
Ага. точно такая же попытка объявить инициализацию переменных зависящей от тактовой частоты
Это даже я , курица, понимаю. эта курица в свое время написала программу вычисления числа фибоначи на МК-52 в 12 команд. Всесоюзный рекорд. Слабо повторить? |
09.07.2011, 18:31 | #457 |
Местный
Регистрация: 25.06.2011
Сообщений: 181
|
Пгодолжаем!
Имеем такой фрагмент VHDL (не Ada). signal a, b : std_logic_vector(7 downto 0); ... process(clk) begin if rising_edge(clk) then a <= b; b <= a; end if; end process; Согласно утверждению автора, по фронту тактового сигнала произойдет обмен значениями для регистров a и b. Нужно ли что-то исправлять? |
09.07.2011, 20:14 | #458 | |
Шволочь. И провокатор.
Регистрация: 12.02.2006
Сообщений: 31,295
|
Цитата:
заодно еще перлов насмотришься. для обучения пользительных. "как делать нельзя"
__________________
... Survivors will be shot again. |
|
09.07.2011, 20:16 | #459 |
Шволочь. И провокатор.
Регистрация: 12.02.2006
Сообщений: 31,295
|
а код? дай посмотреть.
__________________
... Survivors will be shot again. |
09.07.2011, 20:24 | #460 | |
Расширяю чужие заблуждени
Регистрация: 14.03.2006
Сообщений: 8,433
|
Цитата:
См Науку и жизнь за 87 год., вроде..... Там после основного конкурса была прислана программка. Не моя. Моя короче на 1 команду. отсутствует первая команда логарифм убирающая ноль. Извиняй, вспоминать лень. |
|