Мозаичный форум  

Вернуться   Мозаичный форум > О мире > Взгляды на окружающий мир и своё место в нём > Профанации и мошенничество > Опять 385! > самодельный Forth-процессор
Галерея Справка Пользователи Календарь Сообщения за день

Опять 385! Несуразности по версии tvv385

Тема: самодельный Forth-процессор Ответить в теме
Ваше имя пользователя: Для входа нажмите здесь
Случайный вопрос
Заголовок:
  
Сообщение:
Иконки для сообщений
Вы можете выбрать иконку, характеризующую сообщение:
 

Дополнительные опции
Другое

Просмотр темы (новые вначале)
17.06.2013 23:18
Ordinator Володя, а, Володя? Как процессор-то поживает?
28.08.2011 17:30
Тома
Цитата:
а код? дай посмотреть.
Сын вот что выдал.

000 хп0
001 1
002 в
003 0
004 +
005 вх
006 ху
007 +
008 fl0
009 005
010 Стоп
27.07.2011 13:28
MegaVolt
Цитата:
Сообщение от Хищник Посмотреть сообщение
signal a, b : std_logic_vector(7 downto 0);

...

process(clk)
begin
if rising_edge(clk) then
a <= b;
b <= a;
end if;
end process;

Согласно утверждению автора, по фронту тактового сигнала произойдет обмен значениями для регистров a и b. Нужно ли что-то исправлять?
Если то что описано после then выполняется паралельно то вроде всё зашибись. Если же нет. то похоже нужно писать два processa?
10.07.2011 21:13
Ordinator Пучёк укропа - это таки немыслимая трата для него. Гуляет.
10.07.2011 21:10
Хищник Куда-то он делся. На фондовый рынок за укропом ушел, что ли? А я от него жду комментариев на код...
09.07.2011 23:04
Ordinator В этом и заключается суть сетевой медицины. Зойчем закидывать боклана говном, если он может сделать это сам?
09.07.2011 22:45
Хищник Его скорее зациклило на макании оппонентов в грязь. И так увлекается, что забывает проверить, прихватил ли он оппонента, когда туда летит
09.07.2011 22:33
Ordinator Вот ведь зациклило Холодноухова на теме отрывания яиц. Страдает наш поциент от собственной половой несостоятельности, страдает.
09.07.2011 20:24
Тома
Цитата:
а код? дай посмотреть.
Необузданные желания.
См Науку и жизнь за 87 год., вроде.....
Там после основного конкурса была прислана программка.
Не моя. Моя короче на 1 команду. отсутствует первая команда логарифм убирающая ноль.
Извиняй, вспоминать лень.
09.07.2011 20:16
Afa
Цитата:
Сообщение от Тома Посмотреть сообщение
Ага. точно такая же попытка объявить инициализацию переменных зависящей от тактовой частоты полный фэйл безграмотна.
Это даже я , курица, понимаю.

эта курица в свое время написала программу вычисления числа фибоначи на МК-52 в 12 команд. Всесоюзный рекорд.

Слабо повторить?
а код? дай посмотреть.
В этой теме более 10 ответов(а). Нажмите здесь, чтобы перезагрузить эту тему.

Ваши права в разделе
Вы не можете создавать новые темы
Вы можете отвечать в темах
Вы не можете прикреплять вложения
Вы не можете редактировать свои сообщения

BB коды Вкл.
Смайлы Вкл.
[IMG] код Вкл.
HTML код Выкл.


Часовой пояс GMT +4, время: 12:13.